chiark / gitweb /
prefork-interp: remove duplicated pid from msgs
authorIan Jackson <ijackson@chiark.greenend.org.uk>
Sun, 21 Aug 2022 11:55:13 +0000 (12:55 +0100)
committerIan Jackson <ijackson@chiark.greenend.org.uk>
Sun, 21 Aug 2022 20:21:10 +0000 (21:21 +0100)
Signed-off-by: Ian Jackson <ijackson@chiark.greenend.org.uk>
pm/Proc/Prefork/Interp.pm

index 969566d9e9c1494301397c4ee0f772fcb945701c..d3c9241baf786fd184fd556678cf2abc9cf6304f 100644 (file)
@@ -25,7 +25,7 @@ our $startup_mtime;
 sub fail_log ($) {
   my ($m) = @_;
   if ($fail_log) {
-    syslog(LOG_ERR, "$0: prefork [$$]: error: $m");
+    syslog(LOG_ERR, "$0: prefork: error: $m");
   } else {
     carp "$0: prefork: initialisation error: $m";
   }
@@ -34,7 +34,7 @@ sub fail_log ($) {
 
 sub server_quit ($) {
   my ($m) = @_;
-  syslog(LOG_INFO, "$0 prefork [$$]: $m, quitting");
+  syslog(LOG_INFO, "$0 prefork: $m, quitting");
   _exit(0);
 }
 
@@ -165,7 +165,7 @@ sub autoreload_check ($) {
     return;
   }
   if ($s[9] > $startup_mtime) {
-    syslog(LOG_INFO, "$0 prefork [$$]: reloading; due to $f");
+    syslog(LOG_INFO, "$0 prefork: reloading; due to $f");
     _exit(0);
   }
 }
@@ -247,11 +247,11 @@ sub initialisation_complete {
       if ($got) {
        if ($? && $? != SIGPIPE) {
          syslog(LOG_WARNING,
- "$0 prefork [$$]: monitor process [$got] failed with wait status $?");
+ "$0 prefork: monitor process [$got] failed with wait status $?");
        }
        if (!exists $children{$got}) {
          syslog(LOG_WARNING,
- "$0 prefork [$$]: monitor process [$got] wasn't one of ours?!");
+ "$0 prefork: monitor process [$got] wasn't one of ours?!");
        }
        delete $children{$got};
        next;
@@ -280,7 +280,7 @@ sub initialisation_complete {
       fail_log("watcher: $msgbuf");
     } elsif (defined $r) {
       syslog(LOG_INFO,
- "$0 prefork [$$]: lost socket (fresh start or cleanup?), quitting");
+ "$0 prefork: lost socket (fresh start or cleanup?), quitting");
       last;
     } elsif ($! == EINTR || $! == EAGAIN || $! == EWOULDBLOCK) {
     } else {
@@ -309,7 +309,7 @@ sub initialisation_complete {
       $children{$child} = 1;
     } elsif ($! == EINTR || $! == EAGAIN || $! == EWOULDBLOCK) {
     } else {
-      syslog(LOG_WARNING, "$0 prefork [$$]: accept failed: $!");
+      syslog(LOG_WARNING, "$0 prefork: accept failed: $!");
       if ($errcount > ($opts{max_errors} // 100)) {
        fail_log("too many accept failures, quitting");
       }