X-Git-Url: https://www.chiark.greenend.org.uk/ucgi/~ianmdlvl/git?a=blobdiff_plain;f=stest%2Fcommon.tcl;h=cd0780e417a9ad7839f32d570e5d0508114a2718;hb=564022994befb8f71b89ae015751b22c34ae3ee8;hp=8897bd90da340a99b4529b65e736691673c7cbb9;hpb=bf60f6943fca67ea86acdcb12db34e74fe43175c;p=secnet.git diff --git a/stest/common.tcl b/stest/common.tcl index 8897bd9..cd0780e 100644 --- a/stest/common.tcl +++ b/stest/common.tcl @@ -19,6 +19,11 @@ set netlink(outside) { set ports(inside) {16913 16910} set ports(outside) 16900 +set defnet_v4 198.51.100 +set defnet_v6 2001:db8:ff00 +set defaddr_v4 ${defnet_v4}.1 +set defaddr_v6 ${defnet_v6}::1 + set extra(inside) { local-mobile True; mtu-target 1260; @@ -44,6 +49,7 @@ proc mkconf {location site} { global ports global extra global netlinkfh + global defaddr_v4 defaddr_v6 upvar #0 privkey($site) privkey set pipefp $tmp/$site.netlink foreach tr {t r} { @@ -83,7 +89,7 @@ exec cat append cfg "$delim udp { port $port; - address \"::1\", \"127.0.0.1\"; + address \"$defaddr_v6\", \"$defaddr_v4\"; buffer sysbuffer(4096); } " @@ -145,6 +151,8 @@ exec cat set f [open $sitesconf r] while {[gets $f l] >= 0} { regsub {\"[^\"]*test-example/pubkeys/} $l "\"$pubkeys/" l + regsub -all {\"\[127\.0\.0\.1\]\"} $l "\"\[$defaddr_v4\]\"" l + regsub -all {\"\[::1]\"} $l "\"\[$defaddr_v6\]\"" l set l [sitesconf_hook $l] append cfg $l "\n" }