From 57bde12626beac3417c5c238580e4483b3bac2c9 Mon Sep 17 00:00:00 2001 From: Ian Jackson Date: Tue, 8 Jan 2019 17:37:22 +0000 Subject: [PATCH] http://download.lulzbot.com/retail_parts/Completed_Parts/LulzBot_TAZ_Modular_Print_Bed_Heater_KT_HB0013/Production_parts/printed_parts/TAZ5_bed_corner_2pt_bed/Taz5_2pt_bed_corner.stl --- lulzbot/Taz5_2pt_bed_corner.stl | Bin 0 -> 110584 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 lulzbot/Taz5_2pt_bed_corner.stl diff --git a/lulzbot/Taz5_2pt_bed_corner.stl b/lulzbot/Taz5_2pt_bed_corner.stl new file mode 100644 index 0000000000000000000000000000000000000000..7382c94016c39172fffdad16229ca749b590de40 GIT binary patch literal 110584 zcmb?^dAwEA`~M=dk|7x~rBWms<=))0&*dX3vt&w!R3bx&4AuDUsu`|q zO!LRb**?GTUt=%%Y6c^WDOh9fsX&Xi^jC;l_WmHgXs5?w=v}#Zqk7eQ#3{|{UvK`r zJD!S5Aw;m$iKlK@Kjo&^8bPqsUK_j}ck5;wK)~*yLqBpguntzj!sB~RkM}ydc|FR8 zeuan;EVauP_r)W>Y6vl4&Mj73_~tqLrM>XeLv^;{b}$F)eS7&G861pYsmU*0m(h-+ zI~C(+&iI#%Tt0u?hyRJ+>oC!k(F?G$vgN*?FwXh>>rXYW@BY@!iPXl@PyRS{m5d-G zj44S0t#d3y@QmZW;yw%!%)xqjzJ&;k+75eM?pn*eklk`dgi`m&yxJ$O?5oS)y*`~w zJOqZUCD_(cpa_*6^9n8Ucd+$3U{_Mi04RA1mrH-3+L_ECb28|$ij&Ykp ztGFG$Z~V;QHiZa`6f8q8V%5%m?*v!Inm=L5`K}xyuqMvC1zyLmR2@xN*zkBT2kV6= zy6c528{l9BONBGPWS93>+76cD8CsHuhbwu`e4hJ?`!Gbzf3*<9o1x|5sO96IN37ex z2*Mj^Uva->_Z4#pPpbFie|C(U?6_6~9E{*O7Ft+pNg+ZD)qilyxkpO!=qt1uJms^5 z$0-}J)#A15ZrLWGs0^OeUYdpsDy zQs4q7dZfgFzM6i}n)OG-tz8Ybocl0Dz{}`5;C68PLd1XKVey^Yzv?)6W;8u^%edPh z)4(iY1kV^ohaB)~dXC~=$Zk0-0uTD+&?*0me;?4?mAOYk4o0w4c3%s=I!m}0vil0&SSL!YZ~l zMBs`=y)(C*dn6li<;ug;70l=m0V%y!FnM`pQvx=mUEAURxwAYcS(9~&qkn4dS!9g zu5V>rC$d%CKWt&hfsyJs?Y$Utm&csvLWqF3R1e2v!+aqEo=ndGEXCGlBbblTAp)a^ z9!5L(o)gy(+P-WAOW{nds~{V}QoQCv1V&1IBG1a~naJ&6y*w+kIaqIahg8B8BEIA0 zG3U8ZlE;+{BXG`u?(!KEA|QclLP+s^#XWArcSVd~Dcl=2e6Pj`mg4<@Y^!)ZvV|dn z@5y;I!Hu3k`$7a-jat<3oiOjV81qo|7&|84GPiV#p))Ui`zkx*SM2YYTHetK?>Xl^ zHO^Llws(zZEA930wR-j)%gPOJicim_g=GwY)yC<%*)A!!dm8CBI6(UBk z)Gj|=Wo?%o@*-NBic29xu+*xPudv@V-L4S?OZ8goQX9S1ssTjZy`AH$r%#K7uy(Cg zJIA{(o#4vtALtm5JmM}_mb&y;h#1kfRrh#e?}sbUskjuvcCaP;{B~b7YP~^u5h`o> z{_9?umr-qWRsvi`Tedje0p$d*LOkV*EjQ z{;N&vyLId4j;G>MC`6D3s$7cEe}~vh^fqyNvpHVfW9|B{wpo^Nln~4va$Ngjt9a3U z7rU09xWRVu7PtM=m8G9z=`RawLj?B~W+dhrq`2Qg1bP-INPYRjL-vyvU0u0F-)Z*V zpLcU*sY`!_2yH-H)M>vLWCx1K-RVH38EMY?RZqt$3VYUaOM zT2Z{xq%Qr1^J>7V#rEofN93itmMu)hr4S-6UjKc&P1Vs3!RTznQSW_dN3}lAAsC&F z=rLl6y`#m64#DVb#E9Z2_L@zGI0U1!5vRVs)b`tAxI-{HM7*=ZhV}D)e?69?LGN;} zhX|e}4@~~tzSZ{hJkZRSjd-%la=TO2NQYo_He#p37q;i&{=CcRY{bLYuCV6p3mgZd zvk_|@xzb*E%NU1XbT;DGk5=0G9~2yd(brn5Ye>5 zy!g^wGuLwNh3uBgS-!Sgwwa?bS z<>l-di)fkmVFXK!Tkn5)xi)D8!BS^0_#5k$|zGH|d@WX~5`C2LuveeZ^2aF2|6lNg$^5kRYytk{eZM62};2%aT8dn!1D z8PBv$E%#e?UvWElY{E8`SkPj9W*+)0wzeei`v@rXSJ=DU>mj05t4-rhHxG3q*oj6n zdj!Sybe0HLti6!ka_OIRMe!JjKg_sG6)%<-KP9?Nt@yyacsWFU)wcrjB z?_YSQec}Hu_4A7SW42FZtGK7x!jJ<#11PYwFX0{u5!?=*`P`-uA-*=D!ho%eLyIDeJ}^2OjAV z+|${;%N(p1*VljU-?xF5|F^}S_0_k2={WA#cF+3xuln-R>DBe;J^hS39=7A$O-fRX zU`-(cJDa#tm80%lt6qZpFhtaSy;j`g(f*E|dm+2!SVgyQzbDp!aC~Y1Z`)FN)F!?h za$tWCvkr(`_T8)AwD;GHJenaw?9I!V=Yim!&hB02;2veZkb@Cy3HM=$V5`^?zE=+s z`EF~**N#5S^)B})yoL5ih#2$qGgj=c=w0sh5WyTgn#>m>WaZS#I?u~dJZVUKI=dZ= zU@5-q3pqAwU$D~mt{vPacnhpaaEA!-GPTk#u7>%tIlf=|r`==bA+C417qWYI^G7$b zGS<#2^ZvE1?p;U&1q54|-B)r(u9Y*r<6ypQj*iFvW}BRSup2=h&Fm3`e(;oA z#nwt)`YS}d8-cTotlU_tvD22ZGa*+mwfba~+mzi7IoGAx*b=#l$v8)$)o8uw3C5gzJ>=kCmyLaw9H z$~^S)9Lt_pkOm3}UV}VNA;*kYey~?fImpc)UR~KM3OgQhPf)?Z+#yHDs;_PPgFFZG zWpixM`x^WFCpS5(c((H@2syUAZ@FFj?4%vUm(9TlmcrQRHBrcM@YE~q8Qb0NtYQnZ ztwN3XlUVfBVnyK^0%hpObpy)sC;b&7tTpjEu^b8ztSJ?jLWsZ}Jf51AqeF!3qTy=K zjUdjgdM3l!M$d5B2wa7$pJ#M70(UIxgBhKTz}=nt6-H+xaDT2dpV8R}>`dr9W^{hi6RoEaBeudrKR;o@Ty~gAu&C7#$+yjv+lS@yy7cSIohlA>=Eu6e8we zy|}lMtD0D3%jT{;f6B|%o~vOG#~!@IfVs;E=F8^59j|im-s0+UuwJ$>v?kZq;$uV(b))CqC15lx`gph`*Z~1M|4k)(It7zMD&$DKVvV- z{y0Q%U%|WSt`YNPBj7>d3q_)q(IJAZ!UzgS3dRaxtbNKZ62Yb70f5p0(Lt35gOokkcU@2*}+np5Yvc6&jOG&FU?zZ7G#NWoy z#WRs-XlT{H$A4(oYv=E_`7D}!=41{$W06%`!54DijX!;BweyLetB`}a;Sb1-+v z!3f#MPv5g;bch()>Nv_=q;gD8viduc%G@f%WcYT2YYJvs91}b@t&{5QivGAQgW7# zF&qCL!BTQ15Tjjxj|inOztH;f-es%&Z1Ga)vxYf%1bN2rXbMmID@5Dwb9sEu3CXTJ zo#C=MR;_<&{M)jXkto5nRcZnR5 zSc>PHO5^{9sNaki?d=_ocJ1JP%bx90lkOY;wDvLf^5T4l{`}E__JRH{xpK(C2$uSG z!SQzds^=O(u+)?j2iu9$=QM&~siTGtv;D`-XaIp{*y=@jb!D$8ZU^h_@&0Lc%fU}H z!12c$g?M>KKTCN0vuDY+!=JM~t{>=h@z`XK$ALY^#KU)8;SkK1%^~-Ik*tNhj8g4g z>-4u@zW!-~?r#Uye&ovG2r}Z2H%d}lwj5xGJ@ZZjh!qP)$1}cI?rPY=)w7EA9<%UB zJF&;21~}L%mf~5N-42%Gkqr@xPCGZ==Hz9Lo!gY%ja1>; z+3O2;HiFM~+jJbvH*It24& zbKpG^y$WN$lI=@yJ*e;L;%tl^ZHzzr`LN}TU@1OJgb3UN$bM)ZdU>7mx(N}jI}DEd z&hQ?9dm+2!%+Yf9qwW8$TGR3U(e6n5{Di+67;{Fj)U~%9VdrkPsu2WBjlHP9z4S`I zZo+mPvfd1P|MZicE*}5vF=wk-Z~ylXw>SO#bt6`>)X`5KZZ8}BMI#87;;{+afzg9y zaU zVQAGY;|_|?sb1hXm@k{-;@_v+XHOgM5InvaWG#t$Nuy7jvqX?-XR#Bjlg)LhlROz`<|!TNiQ{bVCN|K3R|E`<=mQhn z{z9u*iv3tBE`>rg=+9hy+8n<=xTmvw7temN3nlPcUPaiSkzJM;$K_A@^G~p3X2){K z?QH7qIrf%BgFBvzOCdyv?ddaf>BDsIJw&ioyt>%J5W&y>`Kd$p+4+q=z2chr{>`kQ z-!kv+`W~@UeEYdKxW2-!77cK)RV=mE4`Muc%iVbJ__KxC9K5=iFGR@fP9q4cm~*Gy@Xro;k*FQ_ z_^q}_yPaG)MDX|dqwnt-M^mRe3%RF51ao|I=Vbf**BzZ+=F3L#mwJp25o{G(!gC=+ zaMS>92Wtuu{3Se(f%J67^N%|EnwY&rwr5}t9)IQwt>WJ0QR8?J#@rWe7tO2gV_R>U zujcqi97#u>GSOQWYd+99n$)|>KG&{mHOIVSG@d!*xD#4Jxjb@cf~YA+aQqTRr&i_ED(veDx|XAygy^K@Ic+)ij)6dH zUr!>>GTQg<=uy*hGcNGGt51|Tz7M0*5zMXp$d8~tapm|vj5g*inI&r&6OAwUS%RmE zu!`gRFk0g8%1pdvY>VjM$xOuaMj$v^52MrB9?d<$&vvXHAUN_DqmB9F{?5_V-c`}B zyL5GHP-B8I0xJrCpvjm=W!289?i2OhB45qXr8rg?c(K|%V)U>LqNC+4_%7QWP|eY$ z7>%}JwRyzt6IbM(xq5K)X#WA#VZ@wLY%260R+~rM)3=zr@PX5#U#1VL=9oT=#u#C> zc|^jQ zo&uCOq#Z?V2gi|RG_FfZ?U24IqOY2E9a7D4WEqXKL8-5%^(_`Jknvdjq>Kken`Jb- zUTHk8pSYqpOUC?$0RyDvr6{C~hG#8}xy&o8^D2xfSwh2mm*$nsU5mMU(&qVUj>X7m zoFPhcSJsEc`k3FLYcbMwlP_Du1KeNUZSh5HTli5!`k(Z)=9X|#Rw)RDPk?;TWyJw)}p99@dh z?)-sBQ#gMVRHV_=clF`Q8 zBrP8$=h{0)_?Ba41A*3RG)zXPy<5C+k?&nSpQA@LCM2WX*+5!rZ0~>i5!7o2j_Su~ zV>Xmoa)(@({4~eU61^_r*mjIICYg!0dXS%qdhNqe@)&K5TwhsSU(IaO)vXVWzQ>4g zPe!icEUw|U+&Ev&G5R=`9(b`5(1*s1lvO)u;E{GxYn%;Aks}$6wqYfB#3b;tFUD;^u<{FO#l<>-{*6>@j+aEDADW$)f?NHxb_ z<@loE^=?z~qSKDQ$PQaH-1%LN@yqD&?yg=ub=Bgr_SQQ`RC6R?j&xi3yjIQz_NJ>& z4-ovMI*jow95vv$K{z;yIZK64#-$xOZ3oU|8h@A3{Pf)z>8l+2YHp_??p&+A%V>VW zZ_MR#b}qM+f_QH9q}e~Wm>B2I-p3(0ZY`sYdEo20#n;8EWglKMyY885<4+Ij;}9IfG(=yr zxRw1xTHg1EQ)jQ*VNCq&Jp&wqqh2!Fm?m5Gvl9ii^oBiWbBs?$^j~L4e9eugChf~# zI>N5=&D`R1cbVB7{g=@jO*t&?{p~*!!~w5KKS}FP-0g~498a6kUvIZx-2b8*LPYL3 zL2$H4Mg#HCWsindyLWhAuwth+mg}7Y$yG6{dd&92Wwsw7RxRq|XZxn- zz2guZ&oV?OD=Jzz)~~2BE!=yk5yuo|v@z|r>=*s|cB^Rl=WopBh@_0T;i84{We-1} zv~NSPsHIr8(LWc@<_MUKp0w6`@lC%zogkLZ9}~@;ws!92gWj9XvH2N&*76VI9TrXt z5k(*%g`UKiGa85`ohF1<6=4-D!Ds=&XjpZ|x>qHL_R{hn-fm?(Eqh}&dl^Qf<-gRO zmmvI=ka0hJSt@UU)yqGk;49P8H~bL<-P=qJA`*1)J+Com_Zw&TS#f*K{661Rv0nb( z_2I#L)ergVZ0MIi8$Eo1eXZ+Bx$~ABQq5BQrtDU`^r{~+>)#3DyX()eHM3{tU=~a9 zx5!$a|7u+xa`?HgdkufRtYt{89)hK`EUM+Y;yd$iZfJ2Xa4o0w)mLU})R$lR0&9;NbIu3rXODzG19`_uKU@0v_DnxWV zZtLi}sskJc?`Eqd;LziqgApvHWk`jH{eHN==I$NFISzgYPAvh49`_uKU@0v_DnxuT z>ZqC#b)JLYtyC#+=yA`%2$s?^q(a1@)h(jCXSlcE4L>W;)`CNidk#jhl$IeCB8vCT z+3T#YeLK{bunnbzM5Vx?$2|ulSW3%~3K3_&`%3Qdw@!5&{BDd&fkTgb4o0w)mLU})Zk|6U zH}1L9D>zt6-{kQejL;gk1Z6$$5#QhTXzsEL&T<@DQ!b_NNmU}Wh7l<1agTUv&&jzC ztK9XO(VB88eS51Cp*4&^S&w^!dHSZ@NBfL&?a-QXDSgMU5}`GWKv|D_#7~=Cmg_L! ze8-_R6w5D8&uY-))`NYGbLqFUERuwc3HFW7U1JHULjw`Sj{XO}jPL!U@PO3{$g;}r;_ zHH_f0M{KiWE?Rk7N5`S>EkH`qkkaE72%|NO;IcLr;O3{$g;}r;_ zHH_f0N3{8Tw`lCQ)s92odVrLoA*IJF5Jqbl!DWv)Y`$E*c6C>;1%1j6DMdp{k5?d! z)-Zz09x-ItcF`rR`nY!JQ+-G&8d7?^0%5d<5nT3&jb>~fojc8a32!uNGNc%-|E5 z4Iv<<$30@5svmQo{pGI!G!`fHsuY(i5QT;ikkaEGvC+~cxf^~T;@Y7R5TRG4xLkoK zG=zYZ9`}e1+Rx9ebDcjsYpg-&RVgl4APNm3Af?AW;=m1O=C+;g-e@x#sSbKoipv#< zLPH2h>2Z(P`Ty#2D^KxfPL0|E5 z4Iv<<$35cgS)+2BT|U~4xyJ5-UX|i<1)|Up0#bV1BcAHhFL&!Qw`)+)C|S_UQhK}s zVYG%3T=t0HF5Drv``d2UprCP_Af;$X>G2AL(Hcf@*(2)auBiFzV0R~6(8xBBQZ%IW zcm={}4I{Yh5l6PWrRI?S?#`>Ak!>KQXh`Yt3WU)bMsV39zT5Dpy&n3+b7&+TNGTdp zdb|Q*w1yE}_K2gF9Qf>;tNb0LMmceoP$V5azIupqv@ts#f8v}O6FrB14-P5TtH(V@ zV~BFJJP|tM#W~|&^1C1U@>FK|M#ZUP{Z5g7O$;g4tH(V@V~BFJ zF+VS^D&B7|a_d~bMTHdW)#IL{F+@4qn4dUR z{N0^?83ZZTtH(V@V~BFJF=KvzzIbQ95w0Ejtpuc4uO9atjUmd>#+@MZC?%?G=7=zFNpt5RHEJp`mwlSlM8_pf5x5Bx5UzV`~f zD#hj1LqJM3dBoiH*0){uI?A;}Bl|vg!Ufs=hxp)u9p>gM+m!LJR}#`J03%YOa% zZjM8v$U%zr>T%D}7@{0)%y}p7ZGXLOC&!`RzCnuh>T%D}7@{0)%6mv9`_uL zA&}bBpV!e9Yb2Nr1M;r6n+)?(H z!>@N78Y2TztXGeFj>Zt>Xk$9vHrlQ;^<2lH(GMWSdiA*HXbe$~HYWb*LffHBU&o;_ z86d@a^|(QnQn#d`I) z=V%O3j+Qq>ej8_-+vA@FhkgkUDb}mUJx61Rae%GGu?)Q`#pTsQKuR@v#KMK= z*)QL_(sAf_)X=L^TwXl{q*Rkf9Q@2VcI5PljzhohhF+E8^6DWVrJ6iq^NwfPL-u~$ zap*Va(5q5hUOfb)RFg++*?ELLaNIn{pc#@4j{?c*im%h-eQe0j=1f*1xN1Qg}INSc)zZ{2te+<1U#pTsQ zKuR@v#G=iQveo;pZ{=S`zoUj;mE!X1At0riJmT`^huN18+thLB_u$a0Qe0j=1f*1x zN3^`ZpIy35E61T(xuI93xV(A@NU0`|xZ#t3*a4H;I1bGf4!tVH<<&z#N;P>z^>a0L z{kJ}ES3*2{6| zce~KbQhI##5anpuTiW(y`;QZrV|^4jV>0JL*5g1MGvv4vY`1HFu0XJqW^1lQXbtRD z1j>5cBbpBxXxrATiIx{QAGJyWp~ou`ETv^gg^24v8E3z_^e1<<#2H~ZdaEAy9E@No zEki0qT-DbRWZag>#xmp4aJ?=Re!BSd=RETKy;W*o+xHhiH3Y=+JEdhrf_Z*C1 zDJ?@PL|oDRVEglcmaZL~eO)a9haOMbK?s)8GNeMpcNdJalfSyewS#jkt0myjN zETv^gg@^$|`r2*kw{h*@yz^=aIP|#ZU<6BP8B!tQ;kU=y^FDaawS%)ut0myjN zETv^gg@|#3_q9(oac6_VmoGeIKWWi5&$gqi$2|ul)LuqFDny+5!x&q0;2O?fab9h; z1ZX|(IT*oGT831J_`3bh0rdfam`f~B+!sSt7g>lfR%H)!qJ!5PKX z5^(5o&%p?m(lVq%#D1?<+eJ-xckSRv8fpnR^tk6>1WRcdQX%50svdU7*Sk9oj_;vT z;LziqgApvHWk`hxbH+vXr+f0QuQ*@3wiXg^W_Kp@OCLD~=8b+Y3$34dpBhRs2 z2H)*Cw5D9@sP{g!qgo%Aa4>0-NTMUYs#fAUjKc&P1Vr}2P3qG z5h&|%&#}jvXV|T-d)9GiO}W&7Rg3M_1CK~J7@;+cKv|D_jtSqMY7aW@70019Q`tSwO{!0(3 z9y{ybR=zaDS5py7aV9(2-(7M0oOAk(i#qoEuIiSr&bIP3n?tY^XTCu@@blkb$yamu zVh#w&^p=)6J746)8$^&^Mu@f_*6I=A53rP*L%u~WaZou#6fzKEVJSlmH2XFvheW80 zrR=1Vvhbw{XNyA=8bTy_Qy5XQ%FRURDshyu;!$Kg;YeEkcL>L!7Qz<%{A^@hoEwhH zt{n{{2rZ-9v|cQ(7fIg^E0#H{!f!28Df#X)Ew3H|Ge)GscUGaoix*8^#d&j5PJSNPj1&BmQ&VERHn9dc&wgk5-R~w#v86-SE|rnTYzM5wn;tjDNMcNZ&80 zoi4v{7RM%Hy|K8{UhjBw0R4vv51<7N0rN?|Odq_2t>OJAXXG&&6Pg)z^h zuZr4N95IRYhS8HS=C8gsx;W*$VZ5be?iSzO zW${eRSB*e}d8K?|d^nlAMaR%kJQ-fhWWFBM(cs6)_6P2Z49J=ntE;3EY`(w zs>0Y*v*c{>*WCx&4Tku$!Ln~Yl5=7{&yiG^&zLnP9cX6@>d|*P%woM9*(!`?)lJR@ zTitT3?cheR6zhfKyD*TvN>M??PXN#dTIl~QSLBemrnCSoW8k4Jhvpq}5Z0(Zv!^Z6A@V430;|5v-Umoma(g_FC-5T=im( z>6synM<(l|i1l&r>)uoA`hXYJxLVAYu8-o-PuG~mdLgZzI*eepr+DfuWaYNH+I#9l zCp_uMPeP<) zL3NTVWY!C5_0(aMH1VJop7hb--czgJ<;ZBvm-=1%#SK-?C#qgXaEvv1)2V*lXzrBn ziyeOH7UcBi9NP;Q@0|UZYLFH5*W7E_R+v5j}@QZvU_yw*xmCr9nN)9%z+Gf65H9WO=HBO zoLFXI73&f!@?wochq2Xv`=xz!k{koVx>ce(WZ~RYPaZ@)_sak4ecJid3EdjE2FPQe*Il;b5g7~%$y|_<-{@zt5}y^KMim-|YY1>Psle{~yI#>@ygCT{aXjaX)373&h~@?xdqKqfmug&Z~DfG){q zMbjXqPRgL!5FK<2I5X^^ulk7K%Rl}4fpX~eiG0*9k_qg&2$H96r{+jjC80o7y+E=VstV{a}`FKj>@vF?;K{8Wx?*8kW zXB`LY4Kqk$7GlhwmN92tVqH4s$p0f6l9rESX4Ys*5DIj73&h~(lvoYl^k6xEloF0n54CCJ85%3U*E^uH`TJ11UL zbF9M?HCyn7vjpC5ixwX`ZewPO&z&ni5mvD-u`czAq9reuCGFVc-wSg$itjx(@o=ga zo=7uL!`m%r|7pS@7Fn^Z2&-6^Sdsd3(UMmWF7H;#cn_9we^z=IUTtB1xzoiQ@JgMU z{ghZG7Fn^Z2&-6^SdpFq#5&5G(NE^>pE7?t$t;0a+wOob9S7@$SJF&W#+)GIeWZ*# ztYTeaU3z8^i|B0dfq1wRWF0;svmIXTw(4&j2kYhRp2mnpwy(@zSjD=;y7bH-7Nyy) zkX(LgwnT}NCLZo0 z@or}%9!_(B!o&UF9iA`b5Q}V`_!C&gy2QHl%pe&!DbuHL%mK&g@`p1BG6%{fZjrW^ z3kp_=6?v)kvt;O>u59F5G~|%JnxlOMucm#)eDF$|$!w(=lhgoZ-xvq_1kwSF9I(rDq28p=fY?#vCRyzM&_K{O;Bhs#VKB5QD_%yxJ+U4yKb^S{WIrOe$!WL3c`)`hvNX9mn^(U5R7 zmlb&NpMsP+5awSq@gQF04U4Ghl6shJ@pO@jUYrp9rs}zK`|7E2*!P z==k23|9wqxFdAV+v>yvz5 z_Al~swJ&8;EBhrWw=uFCWo0)iN_L}Cy|NosD|af6Lw2KTZ{;gJ0jEBhr;vR{&y z{SwC^`xj}hHRh98-g4K4o$X{-E!8W#YPE95h9hwO6Jp0MQnghO{All_vs?3XwW*}q7$(lDRo9+3NU>})5ywW(g& ztwo+($0575wRgP$KFku?FL67m$$m**_DdXx>|f;N?pw;HmigSSv&GJKvg@4cm0f3f zW^<3@kX`3miBFzzB>N0evR{&y{SwC^J05x2MQ|L46 zJ1lNzJK15$%MOd<@D{nJbPlO}X9gmPN*&{0^Pi0cQSRa@j z;K1CSa?@)GhwRC?Cnm|BOgiS6>9Wh>#)J9NHCRlZE~R?0&N0iufi>81+ItB{vey?S zdotl&D>&dwW_0^3;Yju@qhwDeU4!sEvK!(! zn9mq_+GpiypG}_jrF!8-;dQ_PpZM1H-y|HeClkq@OqA@&q`m|mRCYrg2lL68drxhX zro{01<`?Ff=aAi~NOq&5WH&0!u!?@t^PucT zMWwS^@{GkM&pGqMdv4%3STEKI){EzmUA0Jd)uLoqEzNO=@zyh^?5ahjvzqQeCeJzZ zpIzA0aj;&jcdUEQA-m@hcF&XD!8EfR=B=JNWp^+toz)UgZIkDm`JKCNxGt5J7o7flHK!~WcOUwH7szu=P94;o=2s#TJlWT^%Xp|_7&@eb*1r0 zIObT{p~v|`&t(35;rYN(I;$m~+9uCA(=lhg=;hM9O8Tm}RCegmKYAu(KJ-=Ttd@9c zn>^=C=Pv8TY$>geoYN-HIa6Q4da-&-{wi66#pDTAq-Qd$59PxeES=TlIcFr#Iqie~ zIcMqgUr*f~dp zF=uvMKiZ((QFg!Wp6JCjoEIX@1@X%scjxXs{~X)l())U`6z7Eqb3tr9p-}U`F_Qmd z>)U&=6z7GIl`MDp`^5el?#3liaq4*&**`wNtQSjhUWhOk#K6DaEuMDoF#Eu($M<3> z&I=Ldf@ry08{0m=uid(;b1#ouT`Pvt>jOHgT$BY1irNZnHn@aEQn%_5iagWZuxCT9~nIyvO z5vR%so^r25>v`pPHy$d5(bP;5VfKiTGE1hNcTqG@W(iAS#%LyqFndHY6QdWmy4}qy zmBOslOcI!hrR)*QWVY9xe@^u6CHJ|xt5TTxnn@ze9+9l5=!*u(~vpM3_C| zGg-CI*6bd2O;#;dE_#;{VfKiL{ZEMYoAz_={IBNr;*1e26=siE^27Pj&}n0HAME&2 zFU}ajQepOp=l=B3%kCNfpI+q|BQ(cEm^~ulC@P2Mn_#Ihd&DGZNA0wqi@Bs7ns0)o z*mueO;5p;$^6xIMk;!g2vjb;ypyRSW^!-QtpKIp7+P!}HBOBq~Ks0r)e`(%dG0G{T z&=5kU=p9JWFsH>ucK*Ema+|*Q`b^Fq!5JgiLW#){ooOHI@O18$)_2TmD0>9nB+l)y zXMOdpU&)Gi4}-NE3H zU%~w?Zzgcg2hHpNOElkvx5`W7?}BA1=4c4v?!D9O5&w5bpEP>}%3(D2#3DCR%E1U& z5+XPrGRHmE*vLR=8JfcE5uBSr(U8*PtA_vwqh(G{ zjd1|Me8zk#*&|Lb%N_wKwnTF)c&j+G17|_t*6MMOXpEzr&zQzIfM7oH)RH~o%(Cne zkW$;Z?5%1H0V(x99)VmC6U(wkKrgpeb2h9Vq8x1uazP9&%N_wK)~op&JV#@QadBOt|kHD`n8Xbe$~ zHfE7zk62okJpxj!S93Oaj>Zt>Xvq!OK=ueov0lyc;5iyYl%pkUC}oe(%np!JyxV(A@NU0`|XzXeUQsI?Md&wSgNRmB5uPh*? zWmu(I9#EDHl7!G2Mxd<6Jp$Py_9@FA!BQw|mWN7&>S6?>LIko$>`|6Ig7u=TSspwG zBUBe7AQd87HIO}m^`fj<9y|vlR2L&46(aJF>=8Y4WSBjI^`fj<9y|vlR2L&46(ZU; zkUfI+qO4gSJO?9G7b74QA`X}A5pR`ck6^thYnBJk!3fpG2uOv9hO$SnUX(SngXds` z>S6?>LIh=xNOM83UX(e@gFH(u<(nv|E=GVOL{RpKfP#_`ZSc5vZTFN3dR$HOoWN4nk;#3PwOGM6{Lc5i`rON3dR$HOqtNV1(*o z1f)X563HHMWm)zJ){C-cdGH*JP+g3GRETIO7X<4?S+hKN4o0XhMnEb=G?WX1^`fj< z9y|vlR2L&46(SnS1;Khz)+`U6gAuBW5s(TI$ORFXWshLJC~KAn&%p@Q#Ry1+2;_p; zt}J^5>qS|!Ja`U9s4hl8Dnu-n>=EykWshLJC~KAn&%p@Q#Ry1+h=y`OuwIlo%R`uN zLUl0$93g^pL1<06Ue5B+aJ~sfpd2FJr0fw|Q!d3>9*kjx)-VEPJ??v#vqxx6xfEx4 zFoqFY!w8i1xaUCjh|Wp&2(2lX;w%rwFhXk>fwCU=9Gxh8gw~WxX_kjdgw`+uWj*c@ z$R05?$sVCK5cBhIJn5n59& zrCA;-5n96tl=ZkrJS*8FIw#p9w5D82vpiHHw1yEV>v4}jE{JWC>=9a1E~Qx>DiK=4 z2$c1>N1WS0_6U|jS+hJ;B2*V6AQdA1C)p!v%CbkWUX(SS6?>LPSHkAXqQT zn&rWBFhX@P0#YI3XUQIMc3Jia){C-cdGH*JP+g3GRETIO7X<4?S+hKN4o0XhMnEb= zd@I=_-YLr-!Fo~FEDxT85vq$3kO~nWHIO}m^`fj<9y|vlR2L&46(U}5AbSMsMOm{v zcn(IWE=E8qL?9Q$+Ec^q5v&(w&GO(m7@@it0jUsS8^|8PdQsLa51xY&s*4ej3K7T! zF`_Jc1nWgvvpjeXMyM`EKq^Ea7sP>O*&|pl%9`cDb1*`6F#=K{;>-rJN3dR$HOqtN zV1(*o1f)U)=Yr6ha=n`6!E-P|YZ!sD9`^{&1)(+NQk>(3)~7 z&hlUkBeaGQDC=?0aj#^L=$&Mb(3)~7&hjANa}q*p7=f}L_Z-L`ab=P{LTk#UILm{) z!$JtHVFb#0+;hAk*&|ja*(0>3T#B3T#B=9a1F2z|MjA4Y=9a1F2z|MjA4Y=9a1F2z|M zv7M4>=9K-_6V&hm*OlB@{FDkTEhsG^|<%7)EFf zBT&}ko?{thkI=B#`g7YS*g^<$Y6$qm>(5nb8 zd&HHL3xe||sD+Ty;}r;_HPEXFE_=i`l0D*{vg{F%QVSua`4lP;Mr#=B#`g7YS*g^<$Y6$qm>(5nb8dj#i#;JgWHA*A$p1;S_z z^eTeO9>KXFIB$Yl2q``85uDk9vmhuRr1W?Nhf!UyL^-%z!C_Pvq?Cip9x=Ls>=BSs zK1gY<1CKyvhlORiAb?OlNNEO!3J#;Xn1jm|97c692bVnpxggq9GyaHjgh7nx$h)I$?VlSUP z!f0j(NHJQ=6^KGZ2uSI1j~F1?Bf9zQ5k@mRfJ3FYT!APwgn*PD_lULLDP@n)%ns1Y zQhK}sVYG%3T=s~bl09PUBzuHrc7T+kA*IJF5Jqbl!DWxQL$XI4oMeyC%np!JG^F%+ z1;S_zBe?7l>q+*Ae^a>JEiiVUPuRs{BVFZ^wg05cE+-HzdG^F$@(`?g{%vsHu3o;Gy?;Ur-*_gF6mIpN}(AeLPTz>r;AJW zJ~J}6-Z={#ieM?u*&usMt)4EPCWtRO-#LqGILiZTGG@Z|PZuAoJu`|Q4LQmYyNR_U zU%FS?@#4Jwit+_owEo-j^%>=YU7 zd;=%F+Fg$3r+O(a`#cmOLgFQAzKN(3t%x-w;|2bFSH{8UFtbB8qLlxkTept2V=rD` zti|ugBs+!t-*OG>rHl{KLumDp>wPc5$RGQ?L!=oa zB9V}i z9{jx{b1-){g1@h1v@v}jYZh(N+j*>Cu$^Eju0Ehdu?*rpE>zc+;ZbRf|#-# zN0wv1%6Ai6Z5^$3$gJYVzw~f%AT@R@$9v^Cuo5w=$w#?3^j&rI_5cyIqTwBte96CiO=;_QTqzf3B?-MqpX+X zvWgd%xx0bPl<6`{SQp1)#rn`ld~VE(I_4aUmGyF5R%6bPHP}>UJZ3xV;#jO4qgCFH zmenMdxw*@+SXnQ}Wleoa4y%@RaV%Dj(P~UH@d{_k8iZ%ySgfp<De0T7y9Q@*D(sI_tu~<1qtGr1rZP-lO@|IY|u~=Cz$7MBUXPHx7WNyurdBwUo z7AwbSb)MRaWyKa^6~|&_y&RWS@~GyS>k<86TkSqtaH}Iu~<1qt1&CYAO3dlfFi8oSgfp<``vR;nMYRp#R4?h*Z+gCU^f+|aK#8qe299RVee3V9o<;be= zD;jat^;J>(ifiDBH2x~QgvL)zt*TM0H2x}E!ZB0bm=|@-Sr^Aig_qFyscB#3w6D}V zvtEvwYD`<1Aw6X7J|nY)b#a_jcnOW4nvQu+$6RBsvR;mvDv_XNri=G+YmjwuoK%jV zYD{;TyJA^xMlyFb<|^yun5oW}6xEloE{>DR@lz!tkoZHfEC zny+TPl5s4J4~R%j8XwS@#ZMe(fBoyP+$mSAs^a*o92=GS#3v5hzz!OCWK`e!fNIt& z8OPE%hln4gaSn~S|HD&k_s8GN^;r5^6~|xY*r?1Wh>0tTPhLGZnsoSpYSt?m$I^I> zh=Zl^8YP5sA;(-7f-I@_^TWnmHCXhyl=5sc;NKtwnqk4vtG$Kmd2e#JTHwq zDZTsBX#4P~BXir&A5_KhS2;E+^U3;%ZYUlyc~msF(~xS`D;dYq_?d`Hrtve4`Re2` z_UPH0i2Q#0Yg}~GRj11=K_omML6xoIh^y%c7Ig$Q&K*ZnWeZ)) zYtZsrrRA)PqpV_#N-f7s96f4UamEFHCTiR{j;6|dZUl2Wf~;3E-=wnyvHMCRn5?Mc z%8&et(ztURO_llFOw3^>-o4w9YSt^6Z_?QwMpk`GJOl2w#}~Y3(71CPO_lkKxwdaH zH&#~E6^{+7X1$W5EM2u>WYy;4;cgk*!u~t)a2j`xqp32V>|ae>k$YM^!{bK`sAj#0 zPo)u?!^o zuFmgjWL4&XZ!bmP6Hgt%Q*XI(zMAz)jOQvb7J27)HL@!Fyz-%K zr6_`O#))vonc1dmHS6VQsvKq2n9<_fj~;lW?Xn$Oz9fx?2tTiU7^6}*bwM&HYM zuzJvr)f`QgIl{=Qw~KFo=IX)r(f$LR-_^*f%!j#Fit4!G_(P&Qiy4ERUA!~^@fpETZ(V* zKY5hhq012GcQvvq{JipE?U$l#)_r(N)LYJj7cP3OileEr-Y~N24&vMIJN_d3`#Zy( z-_>ZL@bk*YQC1~h#>$b=C^-+_F=BERM^k0JVPw@a#J5*39&10lV?;GPr$#-6pI5#x z%IcJtMn`YTdGOeK2UWo*X*5;V8%9=@o`t8jFI;bXsG12$4Hpxxh z@bf+4TlGB1dc(-7(vBLmV~MnbBdfArjofm_TRt#*GJo()kQFXH)i-*kVX&>L| z-FWr`{bS5xj>{TGL!7^^%{95Cr9E5_EVcKVFUMwLFNfwBt;ReyU|??a_?_*cg5a7h zt7pV-eY}f9aEw-C_HEg%=8I)r9D-|leOVU|zod;raEw-C-oJD0;?%8nw}%M_*X(}q zjdAx??Hqz*v>NmME4LTl?c3TRxaPlmjfpSczN9!NPJy6jPu#b+i~ z)eDJJ8a9mbnrb^3KUiU^0vxIBV@|K$~a0rgkYRvJ{yEh-besr)PIASYH zp{Fl7C!_wDDdhU2obCV6Tpv*iA*c8@UIF*812^DBqo=&g*F z>=7~(mo4iOp&gigujamX2#(&$Xvrq%XS=fsGrZ*uD;$EOw=!BXZODpR`;wNy| z#r}18bKuzD-pI>3$fJgzZR6RkJnNJzkMI{FSW0F|US>%?lm|z@(?8D3N_90X#b`zq z>;XG;NM{N6LfDQHCPc85J`wV=-#q&2lr|qWbuE|mkvCmG$)ko_&OIV;d9S~?u6dIW z+M*pdZ@zQ=8w*+p;)b2Xs=W9_hn~0Do$0v*+Qb8GGNN5Mf~ENEW6Z_T8&hs?dT<4T zrQUe#k@W22IsD%Rtiimj!94!WJstM$FBeUy>%ZAp*K%&hM+;iSH*LPN8)t5lG3^#i znexS|77lU4iS>E$iH?K&iu+I^=`5?Mdt=$2t{r?vX1?rp{BP;?IIXmZZl-m@xqlA&j+-G*HU$*oAx$|rP`Y!b!zgq9g%g)-p z{_G={xpGM!RxyI5=8WI9{`O7R&U@*d=Hxm&vHi2t$`LHZb1dY*+Lr5Rrwg-P+mzi7 zzUJh93ww9`SxfIZe0KAxu!<2Z#cw@%t6s0&*Nr*9(Z(x^=R(*HjwH|5CESPE2wsnJ z=1lKiR$6}F=WSj){w9Zzb4GgA#|YL` z>Ra>`U+GQVbnRHYoa?J=k6dOyKWlea&PK4*Z?D$d!|S^=f(WE&oa9M$mw4*Hkvqw| zrlD0ln%pL1nty(y1Py-3jR&`brMO43+rd(D<&<7=h6uTuOt0aXFB^etXTA1e1ZxU8 z)-E=#@0>M(wmn0rTyy((% z>)Ouxr~<)KxW3X|5o7){H>z9G@%st{OX2EOcPxy#?TGd2_Ofd^pUCZCDcl?AK8k!B zzV@wCdYrpi1%jn;hot)`zIW&E*uDb6Qn(8%A@mVI=Q}JObDj$x@yzM1D>zthw)cU@ku!e`J?x3(S}Wbf^Bn%~g6vF`5G=(z zFWCr|0$TU|vJor=3w1vz8^KcWqPkOrOHOI6wYe8x0sD!DPE7+2tFI|*cfx^ zsXf|O-_gpAIgbbH<#i)(0uDT&ZTs6=xiyGuPMHxg-p!Ymr`M(7wP=sRu(rKcY+8Yk zcI0IbIS;#W=Pl=2SC;rCUtQI9<$^UT5G=)f(4fD9#rsfl)f(f7v?DK11o9{|pLn?Y zHfq;u^SP68Heduxar>Y_e+8@Wh~kKNxV-G1=TT-p{9At^f~A<-nC0f;cGJ$-w;im~ z_cx(~rLwKkH)VlftJqr9>91fJA$~V6&p-00Wwc7;{{^dW`Ql&Vck{A?kVl!(__zK- z1WPfuJk6MVOuO%QS2i>wy;Xy5e0(LAp*7jSFrj#ptUc5dRV(l=M3gDuRqO5cJ9f~{h1)akEa8G+phdFGWzEu$qr&YHWm zJ85`_HW)#Da}`o-VYXGcioyH;Krjb$qfUSLny!SvZiHIJXvuantYf<;KW*o(clBLY z=wJ)8thMxFi&mJzs1)iDpz8!g_Y-Qnl0a#rcvvCzR5W?QA-d;o#FRGsb2 zhdTWgEF)Notuf#;tt3a@neox^MCoMgt?yz^ND-bNDUq^Vv^qrg3 z{p;(l6$qBnZyh}1t5Z5m5l>oy2&MdY2p+Nbr|sGlhjpw#z(?V01xV?42p%!wyj5+) z163ecO1~=b2=NMSCmp?O1%jpY8v&14-uakz@}~m9GbWrRr%u1S?Oz9Wu0XI}{YJoZ zH2wL*wz5Vl5G+cey!>~=7MrSLpbyiv^hQ7%~A_v_nD*iX_Y4csP1g$S179tjb6eyZvtX_WmFcSUfU7|p1HdrwB+pyHhnZc{dbrMO2zgy>CoIk-*P?O+5;;r$8m z+%fA%xnQw7r0M#i%*w7vV%l=^-EnG=U<3mDSoRX8^LX2K4W&B z-Nl|hyjiZVyph6rc=_1`zuRKWjNxb7b8oK8-812UUXLgFcK>KLC4XkSs`}^pzK5r8 z5o?m?wL{OgbEeki29N32i)%PrFKg0v;2l@Fb0-c)XzpB&e&G-Wmg3C46^Me2UIhnB zX<4Iqlq}PDRH)$`sT!>*MFzQ6XXkOL25LCtUE5Lc|$J8C`)$IT*oG<#AXNfQ-lZh1}?%~SW2#xzvjt&tY%pR)6N19i8pmrfjPi!BRXI97n-36{0a`c8q7u+0EI@ zGlMM*ttv+#k`Bg$+n0@C3oEQjV4+nk#TJGfnwb)oa9nSW*qx1FDUQFLjbJH`rk#yo zDUOYujbJH`be)Z0DUMs6jbJH`LY<9ZDUKDUSV{jbJH` z%bSg0sW2vB2~&vJmvF2>j-^kaSq391WR#7#%u&jael>Y1WR$Y#B2mhaqh!x z1WR!y!)ydgabCh~1WR#V!fXUfahAbs1WR$wz-$CdaR$I_1WR!~zib3cady6J1WR$Q zy=(+aapt^i1WR!qylezZaaOx*1WR#_x@-hXamKl91WR%LxNHPVac(z zsV$p>r8w_eHiD%%i&{2QMo5v(cX(75opVqtUz zBIRh?s(U=K_rs2Z5v(cX&=~sQU~~l{wmmLQqSX0Qs5x5y$ zfk-)S8Fx^8PW1xE!3fqAa&W|NMpqzGj@l~^ix)Rp=r|a`nnDhaT+Zlh#FB{v;&Io% zD2Y84}xgVCW?m)m3Fi66Yqe z6(d+v$f0riG20nkfhc%fA!^-WaNKvsM~;ILtSRK+90QE5K%^X9MhuI`@B3fJ!3fqA za&X=RMpqzGj*DiV7T(+Thj$ zdU4eK5Bk1m&uCXwD`rO_;%Y%WC5SHaOqlIubch%+yjijH>@E>vC-9eAjNthF#z>@v zIr}W?9?75NyE`9gpTGGD5$|5sC+hd#Z7up)-)`yFP2y!H@5XQ$GR8E9fQ)KFxs*i! zWqt1rdR2zyS^;~4lS=90#d5UBcz`qX}#lMc7DT9 z%ixpu5F0^2N;P@JKh7Q=O=-Qb2u8IRHTs4Km%UYuAy|_~NIyl=U#=Z$FKYO0Of7q> z8bd%zHF?DCr<@z@f7k@q4z``&7SZG0s>Tq|%V>%3(|Tb}TJPGS_CgoG>#AjMRbvQ9 zsV0xObiqZ@+qdlL+M(>I;WtF|xNk>eh;p>VVL5CX1OdIO$#WzvuYtYVKd3>!$vdMlmmwoDuzl}lA|RuhP?m3( z{JhGc%z81Gc|3{j4D^Qx%xO6`Rv{(?ix-a@R{|JT^HKx&3=%V_VmXZS(#E;z%ir~D7b{1tID>v3f>g#SB4%P8JOJ}M z`I^40;YxV_Vi|XAVSPgD!x%Ju`MZ8gWaX&UrVykuP7zT@8KE7f zFKZYx@C|$|Q+5-Vv_+7{II)Zf0`US`AKGF1@^}5V%gRx!O(95SoFam`g!qSiRd=rX ze6ycaRwhjo`?N)n#yGJYqKwMo64RHz^PO!__G&q5#fb0o5Tr6r4T7;x(26TPzJ3UN zRd*}*LlgrcNM*lAgk?8UeOc!F=HMOVS^0}0NM%-thWy%Y_YlvbX1ZnJdmYsVVb5$qiES9;_mpQw4ifGADV zFUzKhmZMgJCk+nsT$~Z*? z?PPw%l^%8pGK`Ayto+3gq%uwsQMWuque1;Nh5k@0Sefz~>$XLZ#yGJY#(8PuoGU$i zwwJ$~CR&bK(bGQ@n}-k}|;iTzG_jbF7zkguYhdkpi){`I`el^%0Q zFFBbeT8>(63PCF46cP2P4O($*gLgAN*e1%b-&t3*&$&$@$XC%#p2kEgQZY_|HbI`1ql`LQYKtJ1 zwId?xxFjHq7iF04TK-}PQW>X+z~4nI6<%+v*W2-*pZ@K9&Wl&(yb(Om7U6HaHObi$ zcOv80Jl8BJ=k9)YPE>=403h_9eo}QD_)4JC904_P_$QU2xj;1cNPC1*X%>|B2&K|C zMRg($Ppb3F{LPPFlCy7?I+n)m7V%_Y+}gNw;fL@WPGL_ay=&cG?s8bUJ^ zsCCuqDMDqiFRY)_Y&R`T;Z+9P6FKC$%4^ZXy}V`*P`kCal_HcX`dYMMDua5P$7vQ6 z&AQSap;Vd+raeNb)GON~QmWRtNfDZFLam!x9hf5G3eR4n?ai;uGt#U*k(z&}2&GDM z@U%lHRhm(U%p;1UHjZ3srM=m{2elpQm1#~Sm7#eo)K;ktqelF@K-SPAzvP{xa%!bH zX88Y>E2l-@7ok+@W9<=2r5>6hG>?Vat)H!mh>Ii2`dO(s0?Zdu8T)U&5;Jv`vkls7 zX}%(0iij(`X7Et!rdE&C{JZcfL;0rpibzWOz6kAq)iBb=zf-MfQKHx;)i6b9CL`6b zpH?+2&h7uO--Cg#_Dw(Q77r8cYu;Ge>;=sh{-AL_1|h*Ga?hfpf@=@va@ z-1xO8bN-#DpUqll6UuX&KPp&3=wx@z^v-DGG6 zDAlli%QZiWTA_W*HP@21sb4#4)e5gNlrLu_8=Gm%HP4H-TrHBeToLpP_6hr6(J6w` zNl7YgQ~P#ko)>LXzjoAu3a{C+*izF<`zF;&5lW>!8xTdrakXjX$I+InMN%2$YhzIJ zHfo;8_6Vh-Uwtz9%Q*f--W|1-+OTFH{z(zE8MP#Jif&=pAFXU@+UMG{EqcyZns-O@{Pb%_4NDP9 zr5Xm}B0>sJO-M=Iwa?Y+DMIrHDPOf=ieMiszUSZ|L;Ig{ZKMT6{a2h5XLqj;2(^OB z3&cf)qzI)_Z6mEAwB?%JQ?rS-M<|urEk$r#TMN~q?R{4fO2yUrs(EAc{@$(#rDDWk zR!_Ck9Czx8>Y*t@Whh_9B|R@q89*zQp*x{e9;6geQd|v;mwHTlFVQ`@QgJQe{NCSI zx~o>LRNJVJX+eLbHkXX8Rsg zgi>+8Gv&3j^8VIUgi>)&WoH9Ht0L+acV4EIQl-1?>u&y%|77D^)*jx= zYBwJ7?!Wcfw2m#Rk$n)n57}~UY4$NZ=h*CpZ2sKs0zzvP5$;B=??`g)ch@b+)-T_& z8UY!amrSX6H?n28HUw!3U%fBe@zW2>)2E4}4L^Pr`O@k{PowiYsoP%1^I_u?$} z`3v(yU4HYIkRx|Z*%zTydb1UtXKff-ojK}}fY2JfX-adu zIrr{+yH+0`azj99jUx26CgdvW9$9_mqZ7*EXoqHfQ!2el38!rv_O3pAdT>94Qt1su z&e5vpZ9Q%o|HYoX7duk?abBtPj-&?B)fb^uM7M66uC>an9hP%Wsi(5kcoW`!(rca;_e= z0l|@Kcfo5`JmuuvzhGvVa>3|;;A~)b!7D;J;n@UcpLZS`92I}fwy+qDeNoTim#-b)c^N5*zgE6&c=az!YoW;F;}aRo5zYOX%z zgy*DKaXvtQP)1l~>K;^tazeg|xT<-M53Xe9C7S6^Ibj{d%6U5ciU_@jToK9%cQ#{n z-mLaruEOTknh#Jp;hxkDL;Z|Vj|6Ym8`u@0obYt%yyab4^Wy%Ap#%Bevt+Y<=Z zScXl_QmC97t-{={x5q0&IXQPcT0Q}DJLeL%&~7T!yoSoDv2KX3XhpkmQ4z`sw=ts! z&&C?H8)RtYr4gBOa&8pvpqr07==$bS)H~=j6A_+z&fBw^|Jl@RQlDGvG#iwno%_kf zyHr~qvLNHv1A0@PW`j~R-eAd`aTa|b@|XB8yVy_ZYH<>B+38ccHTI({Q0~+{Q=NQYZRgRU$AoGi;)rdVuXFJHTuPfeo2BIE4~=X z@Wn{qZ%p(n5&e23jE`zR%nY32F8k3ddH1?lXuttUbha#{pH5slH zjr`7teQv2E{R>ZjIKTBjpARyy_T`ojRMBCrEwR>Cw5}qszBZY~6dl&{(sp;p9dvJg zFEy5SJ`NToU#lTfg)3{PhFrTh1dE$q~J<9B%oy ztdIPESKN)=9sNqF6pc8jL5KLN3-MJz{Nk`{^XZdb&B4VZrfRfG5q0d-4}rL?X}O}2 z)vDeJ)2~iDZBD-3jNb$qhz%R-DjJ?x@3#9Q5Pvp3sA%WbAojT#ea@I+yXim5XZ`gv zK?Y*n#!D0(V(JVrbwD6?Zh4;|loN8LAodvy-{m=jB3|F}`}sw8Jr?94hHplJbJt?! z{4vG{5n7`N>=T;N6~>_d1Y?k%s5OtQo0MO({{A2Xdy!@Yhuxj$?v4npQ3Uoo%`D;E zUm^C{Snuw%W~b2y>D#|l7wY9sEo0f-}T5H(y(*|GG*vf8&Zmb(*&{kHX2wL6l!*=dE^x$+vuN+ZY z!yf$bwi!VNdlsirEaN|%0eGAN1ccTog5G2I_dADkh79Kno-(wC-ZyyF2|1X0`mtp$ zaPEcZ?E#@RiU{6bXJA174~!_BmxM@PYd9WX9ddY(!4YM7A(~MeWN3{dIBG4wMRR@? z_BdL@d1Z%xoET(qX0WUq&ei8vL59{Sg0qih4}m4{AI`5l{fe_%b_UA|P5s@+=e=(R=>T-91$vK%YtKf$lK|Iiw)qCdU*lpupEXUh|> z!O6tBjc*0tRVuE?=HV%#jsU{$jw}6vf4m^@WdvZONYPD{5yqe*GzwF+b9Z2G@Co(? zj4UrbqfPhXwA+qGn=-Xp6~zjDIf0Ep*-SiOIW(0hax?c8^O7;@(7 z?4fTiS*pDBc59W5FXv90QjIxvU3THIQzs~2y?Hp5G3Mp&YWaTK`VB{2u~hH%)g45N z7!O1R#9>EXu~hjgIz`+t_=sxd6GQy+$=gm)D!qAF^ z{>2b|(av3V&WLK!$63%y`C6HX^d8~gKQX+z`0U(IoH=}{?zWUFy>l6stzcpQ&>1Ph zWKefSreE>!AlYuO2s<| z?8av2E}p)+oCZWUMy>8MRbGmC8;EWo?!u_m`wJAEA|_*BI~V&}-lwm-R7L2H)w#`A z4fFdCom5?L-ijWLAoXnleQNF8QEMN~j-EZOdhR=Ak4DJ)-io4~8@y;xcH*yRRWBZR zcaO&H`X-p7@ecl?LFLsz96xh@kM0Nb$*7{8dtmLO!!Ibk~P(#x9&z4SDy`a;N#O zhtD9X0TGH$_ne15J*%3H)?Yg6;-#$}b{^iIGqmoIaqbfl*?BTbet%gqW@2wo>|M2FsJ^HqQA~Yh$ z2@5pZ5}N(8Sk*(lsR8*aTK5Ug{ohlw{2L1gWj{RZrJla;p&D9uFAbt3f-=ne6s`NK zMyrxqQ4<>sJn|{v9pXSw_*i|HRjeI7!_XC#ikUMfEIHBOIJ3;v{tn zPEvU;s_V9%a;8znXE^2j7^j@?_c`U%lg)H>es0~`@?e~D@~lr!IdvV>)ehfB;goYS zPC2QS*63=WXPL+>H)%mR1E-usXpJIto_Fqt%XX_i?Yb~KeA(Y7r-K^3rsI6rOM6w* zdVbM$G!U)%BcAWs9ygs!YQ!=kbY9Z)J>}GBRZ=UR`}DLVax2lc+Lg#ipNmEYFee|ojxZ6;DJSP1zj08xaQo3g zhOSY1My8yc`&P%8t~G1+u8x8XT?e@bv@+5W3dt36yej?wP?= zHfVN7MO*25uIEY033)C5^cQ~5ZQc*o)srGcr(^Kwv0wFf^)4>i4n6l#s&t$mv}mM% z`N+M43_ZnBs&p>-;2Zmd$dxVEvlpdG=c`&q#+K^|i&CX?;$*aAQSai6GW5JesnU7( ze%NZvHtz?7*63M=o{Hexa`eaMvpYO(rDqw6&{GQM?na-Ved!*azN;q_N~LEG&P{}0 z{cg?P0iov!N~I?VICX~a-oE|lpp~8)D3zWEU?+_6@tcEp_Oz9r0VtL3?s4LUG5E7% zxAGiOTBC7-?)06z4deXJmp_}aa8bNoB!&BPNmX0it8^U zw5Zi~qjs%E<`b)O>|UKprSqUhvN+2ac6c>tW=A#ZE9)j`#HxsN-hFb=Y1MP*JXGE} zznDN>EoM-vbZ+nbWLDMrT~|6h4QQ3Ww}mHIo@je|hNm)7 ztIV{rct@$!-{6U8`BpPK{IPY*=@GP|Ql*~Q0qcG}pXj$4zgMT$sOP8g?s4eBQ*S-l z-}~eVp+77_))AG)yH~?YZl7_k{}f)LR61%kT5deiU%SKH;8zwQ>&&3hGM+BM+iyK< zx*u`j9l>`kLe|-b_G?AU^@#G{*zw*lJ}g4k87_@?S7X%nc8&J;)T7p-Vnyie>fDXp zQ~aR|*Ja;b`)H@exJsq7HlDt|ca}eC;d$9ByDab22wACgWpM71&(04k&a}%`boPyL p?Mz=+pGHP$GR)hRit_AP2;MJ2JC0houDq&lhegOrrE`~a{|`d@)%*Ye literal 0 HcmV?d00001 -- 2.30.2