From d38f1d2ac0d768b903c0fe277c778f8c7cae5881 Mon Sep 17 00:00:00 2001 From: Ian Jackson Date: Sun, 16 Sep 2012 22:31:38 +0100 Subject: [PATCH] Revert "filamentspool axle wip debugging" This reverts commit 8b5b84f1d0510020f9656e979c84f4c834536404. --- filamentspool.scad | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/filamentspool.scad b/filamentspool.scad index a0381de..5724dcc 100644 --- a/filamentspool.scad +++ b/filamentspool.scad @@ -297,7 +297,7 @@ if(0) rotate([0,90,0]) cylinder($fn=60, r = towercliph - shift, - h = pillarswidth * 0.5); // fixme 0.5 is bogus + h = pillarswidth); translate([-50, -joinbelowallow, -50]) cube([100, joinbelowallow+50, 100]); } -- 2.30.2