From 91b9e72a6d6391f56501f91e9f0d6f62a8b9f13e Mon Sep 17 00:00:00 2001 From: Ian Jackson Date: Fri, 30 Jun 2017 23:45:46 +0100 Subject: [PATCH] simplephone-case: rounded, done --- simplephone-case.scad | 12 +++++++++--- 1 file changed, 9 insertions(+), 3 deletions(-) diff --git a/simplephone-case.scad b/simplephone-case.scad index b1a126b..ab6f36c 100644 --- a/simplephone-case.scad +++ b/simplephone-case.scad @@ -37,6 +37,8 @@ inner_cnr_rad = 3.0; ym = psz[1]/2; outer_cnr_rad = inner_cnr_rad + thick[2]; +x_sliced = outer_cnr_rad * (1-sin(45)); + module RoundedProfile(sz, cnr_rad){ hull(){ for (x=[ cnr_rad, sz[0]-cnr_rad ]) @@ -59,10 +61,12 @@ module RoundedCube(sz, cnr_rad){ module Case(){ difference(){ mirror([1,0,0]) - translate(-thick) + translate(-thick + + - [1,0,0] * x_sliced) RoundedCube(psz + 2*thick - [1,0,0] * (thick[0]) + + [1,0,0] * (x_sliced) - [case_x_less, 0, 0], outer_cnr_rad); @@ -93,8 +97,10 @@ module Case(){ $fn= 20); } - //translate([ thick[0] - - //cube([ + translate([ thick[0], -10, -10 ]) + cube([ 10, psz[1]+20, psz[2]+20 ]); + + //translate([-50,-50,10]) cube([100,100,100]); mirror([1,0,0]) difference(){ -- 2.30.2